Intel Quartus Prime

In this article, the topic of Intel Quartus Prime will be addressed from various angles and perspectives in order to offer the reader a complete and detailed vision of it. Different aspects related to Intel Quartus Prime will be explored, its implications in different contexts will be analyzed and varied opinions and points of view will be presented. From its emergence to its impact on current society, this article aims to deepen the understanding of Intel Quartus Prime and offer the reader a broad and objective overview of this topic.

Intel Quartus Prime is programmable logic device design software produced by Intel; prior to Intel's acquisition of Altera the tool was called Altera Quartus Prime, earlier Altera Quartus II. Quartus Prime enables analysis and synthesis of HDL designs, which enables the developer to compile their designs, perform timing analysis, examine RTL diagrams, simulate a design's reaction to different stimuli, and configure the target device with the programmer. Quartus Prime includes an implementation of VHDL and Verilog for hardware description, visual editing of logic circuits, and vector waveform simulation.

Features

Quartus Prime software features include:

  • Platform Designer (previously QSys, previously SOPC Builder), a tool that eliminates manual system integration tasks by automatically generating interconnect logic and creating a testbench to verify functionality.
  • SoCEDS, a set of development tools, utility programs, run-time software, and application examples to help you develop software for SoC FPGA embedded systems.
  • DSP Builder, a tool that creates a seamless bridge between the MATLAB/Simulink tool and Quartus Prime software, so FPGA designers have the algorithm development, simulation, and verification capabilities of MATLAB/Simulink system-level design tools
  • External memory interface toolkit, which identifies calibration issues and measures the margins for each DQS signal.
  • Generation of JAM/STAPL files for JTAG in-circuit device programmers.

Editions

Lite Edition

The Lite Edition is the free version of Quartus Prime. This edition provides compilation and programming for a limited number of Intel FPGA devices. The low-cost Cyclone family of FPGAs is fully supported by this edition, as well as the MAX family of CPLDs, meaning small developers and educational institutions have no overheads from the cost of development software.

Standard Edition

The Standard Edition supports an extensive number of FPGA devices but requires a license.

Pro Edition

The Pro Edition supports only the latest FPGA devices.

See also

External links